チュートリアル - FPGA 設計入門

メンター・グラフィックス PADS からの移行

他社製 CAD の PADS データを Altium Designer へインポートする方法をご紹介しています。
以下をご参照ください。

https://jp.resources.altium.com/%E3%83%A1%E3%83%B3%E3%82%BF%E3%83%BC-%E3%82%B0%E3%83%A9%E3%83%95%E3%82%A3%E3%83%83%E3%82%AF%E3%82%B9-pads%E3%81%8B%E3%82%89%E3%81%AE%E7%A7%BB%E8%A1%8C

Altium の Innovation Station - Altium Designer とデスクトップ NanoBoard の組み合わせは、FPGA 設計におけるデザインキャプチャ、インプリメント、テスト、デバッグにおいて必要とされるあらゆるツール、テクノロジーをリアルタイムで提供します。

Altium の Innovation Station は、最小限の労力でデバイスの機能に集中することができ、維持できる製品の差別化を狙うことができます。この革新的な環境により、プロセッサや組込みソフトの領域へ取りかかる前に、設計についての確実な基礎知識(基本的な設計を行い、デスクトップ NanoBoard へ接続した FPGA 上でそれを動作させる方法)を習得することができます。

このチュートリアルでは、カウンタベースの簡単な(非プロセッサの)設計を扱います。デスクトップ NanoBoard 上のユーザ LED が左から右、または右から左へ順に点灯するように、ターゲットのドータボード FPGA にプログラミングします。このチュートリアルで、FPGA 設計の基本を身につけることができます。説明は以下のとおりです:

  • Altium Designer における FPGA プロジェクトの生成と回路図ベースの設計を行う方法について。これには、部品の調達と、回路図上の配置、配線が含まれます。
  • デザインをドータボードの FPGA にターゲッティングする方法。自動コンフィギュレーション機能を使用します。
  • 設計のプロセス - コンパイル、論理合成、ビルドなど、ターゲットデバイスのプログラムに使用するファイルを作成します。
  • 設計階層を使用した FPGAプロジェクト。簡単なカスタムロジック(HDL)を含みます。
  • 仮想測定器について。

このチュートリアルの設計例では、簡単なツイストリングカウンタを紹介しています(図1)。これは同期カウンタであり、反転させられた最終ステージの出力が開始ステージの入力に伝えられます。 個々のフリップフロップではなく、Altium Designer で用意されているシフトレジスタのコンポーネントを使用します。基本となる回路図と追加のファイルについては、Altium Designer がインストールされているフォルダ内の \Examples\Tutorials\Getting Started with FPGA Design ディレクトリにあります。サンプルは、いつでも参照し、さらに学習することができます。いくつかのステップは飛ばし読みしてもかまいません。

図1 シンプルで非プロセッサベースのデザイン - ツイストリングカウンタ。

回路内の論理コンポーネント用の同期クロック信号は、NanoBoard 上のリファレンスクロックから提供されます。カウンタ出力は NanoBoard のユーザ LED に表示されます。

NanoBoard 上にあるリソースと一緒に使用できるデザイン内の追加ロジックは、以下のコントロールが可能です:

  • 方向のコントロール – NanoBoard 上で関連付けられたスイッチ(DIPスイッチの一部)の設定によって、カウントが左から右、または右から左へ進みます。
  • ストップコントロール – NanoBoard 上で関連付けられたスイッチ(DIPスイッチの一部)の設定によって、カウントが止まったり、再開したりします。
  • クリアコントロール – NanoBoard 上の 'DAUGHTER BD TEST/RESET' ボタンの押して、カウンタ出力のクリア(全 LED がオフ)ができます。

チュートリアルを完了、シンプルカウンタデザインを実行するには、以下の各リンクページを参照してください:

更に

You are reporting an issue with the following selected text and/or image within the active document: