Altium Designer Summer 09 サービスパック1のリリースノート

Summer 09 Service Pack 1 Build 9.1.0.18363 (from Build 9.0.0.17654)

PCB

  • 多くの STEP モデルを持つ PCB ライブラリをブラウズする時の Libraries パネルのパフォーマンスを大幅に改善しました。
  • OS が Windows 2000 で PCB ドキュメントを開けない問題を修正しました。
  • "Move Room" コマンドは、"Room Actions" ポップアップメニューから実行した時、正しく働きます。
  • GDI グラフィックモードの場合、PCBLib - FlipBoard コマンドでグラフィカル画面をリフレッシュします。
  • Smart Grid Insert ダイアログの上部のツリー表示でクリックする時の List Index Out of Bounds エラーは修正されました。
  • トラック、またはビアをドラッグする時の glossing の質を改善しました。glossing は活動的にせず、ドラッグするオブジェクトに制限しました。これらの操作中、glossing を off に切り換えるオプションを追加しました。 更に...
  • 確実に PCB から Custom Layer Sets を削除できます。
  • Full Stack ビア形状を PCB Preferences >> Interactive Routing >> Favorite Interactive Routing Via Sizes で使用した時に起こるクラッシュを修正しました。
  • 特に MidLayer トラックを参照する Escape Routing - Width ルールは、デフォルトルールの代わりに使用します。
  • interactive route ツールは、定義したドリルペアから正しいブラインド/ベリードビアを使用します。今までは、いくつかのレイヤスタック構成が正しくないビアへ導かれました。
  • IsRoundPad クエリは、正しく反映されます。IsOctagonalPad クエリと更に、特定のレイヤで希望のパッド形状を持つパッドを得るための新しいクエリを追加しました。新しいクエリは以下です: IsRoundPadShapeOnLayer, IsSquarePadShapeOnLayer, IsRectangularPadShapeOnLayer, isOctagonalPadShapeOnLayer, IsRoundedRectangularPadShapeOnLayer 
  • "Connections and From Tos (Default Color)" は、"Default Color for New Nets" に名称変更しました。新しく作成したネットだけがこの色を使用するためです。この色の更新は、既存ネットの色を変更しません。
  • PCB エディタでレイヤを後方へ切り換える時、メカニカルレイヤがスキップされるバグは修正されました。
  • ターゲットをスワップしている時、時々、起こるインタラクティブルーターでのクラッシュは修正しました。
  • PCB List Panel Smart Grid Insert / Paste 機能は、Embedded Board オブジェクトのために向上しました。ユーザーは容易に 位置、角度、スプレッドシートからのスペース、ソースに基づいたその他のテーブルを含む embedded board データをインポート、操作できます。
  • 同じ Texture ファイルが extruded 3D body より多く使用された時に起こるクラッシュは修正されました。
  • 3D でプレーンレイヤ上の文字は適切に表示されます。
  • ネットアンテナは Loop Removal ツールで正しく削除されます。
  • Minimum Annular Ring DRC チェックは、回転したスロットを持つパッドやインターナルプレーンレイヤを含むボードで正しい結果になります。
  • どんなレイヤでも見つけた一番大きなビアサイズを使用する代わりに、正しいビアサイズを使用して、ビアに正しくティアドロップを作成します。
  • 属性の記述をより適応するために、PCB の "Find Similar Objects" のデフォルト欄の Width を変更しました。
  • 配置されているトラック幅と同じサイズのビアが作成されない差動ペアルーターの問題を修正しました。
  • ビアとプレーン間の接続の問題は修正されました。この問題は、start layer が board stackup で stop layer の下にあったビアで起こりました。
  • PCB Server Preferences の更新プロセスは改善されました。例えば、Online DRC フラグのステータス変更は、開いている PCB ドキュメント内の全てのレイヤを有効にしません。
  • PCB パネルのデフォルトのソート表示は、Designator によって Components を、Net 名によって Nets をソート表示するように変更されました。
  • 最小アニュラーリング違反がいくつかのビアに対してレポートされない問題を修正しました。
  • ロックされたstatusの Component Designator や Comment は、PCB Inspector や PCB List パネルに表示されます。
  • レイヤ構成マネージャの以下の変更が適用されない問題を修正しました。: top/bottom 比誘電率、インターナルプレーンレイヤのネット名。
  • 無効な polygonal regions が ODB++ ジェネレーターへパスされた時に時々起こる ODB++ のクラッシュを修正しました。
  • HugnPush モードでトラックをドラッグした時に起こる断続的なクラッシュを修正しました。
  • Issue with signal layer tracks ignoring keepouts when dragged from non-signal layers has been fixed.
  • PCB ドキュメントに Start と Stop が反転したブラインドビアが含まれている時、ODB++ 出力で Access Violation は発生しません。
  • 表示を正確にするためにズームインする時、DirectX でパッドやビアはよりエッジ形状で表示されます。
  • "Select Connected Copper Ctrl+H" コマンドを使用した時、ボードカットアウトがカッパーオブジェクトとして扱われたバグを修正しました。
  • 回路図と同期する場合に broken net DRC のクラッシュを作成していたネットアナライザーコードのバグを修正しました。
  • 16 以上の Mechanical レイヤに配置した退避したポリゴンを含む PCB ドキュメントを保存した時の問題は修正されました。以前は、このようなポリゴンは Mechanical Layer 16 へ移動しました。
  • パッド、ビア等の配置は改善されました。ユーザーがネットを選択できるものから配置されたパッド、ビアが参照されるものまで、ネットを含むポップアップが表示されます(もし、発見されたネット数が1以上である場合)。 
  • 退避したポリゴンを含むスタックからレイヤが削除された場合、ワーニングが表示される様、退避したポリゴンの処理は改善されました。
  • インタラクティブルーターで、ロックしたオブジェクトがロックしない状態になる問題を修正しました。
  • オーナーコンポーネントがボードから削除される時、違反があるスペシャル コンポーネント ストリングは Access Violation を引き起こしません。
  • 適用できない、有効な未配線ネットのルールが見つかった場合、DRC が "Report Broken Plane" オプションで実行された時の access violation を修正しました。 
  • ドラッグ後、直線的に作られるトラックは1つのトラックにマージされます。
  • エレクトリカルグリッドが有効な時、トラックをドラッグする操作は改善されました。カーソルはドラッグしているトラックにスナップしません。 
  • 回転、反転したコンポーネント内の 3D ボディは、コンポーネントの方向に正しく従います。
  • mm 精度を 5 以下に設定することにより、component clearance DRC が実行された時、長い遅延が発生しました。これは修正されました。
  • ピンスワップモードの Advanced Router を開始する時、遅延は大幅に減少しました。
  • Interactive Route でアコーディオンモードに切り換えた後、~、または F1 で適切なホットキーショートカットメニューを表示します。
  • "leaf nodes" からなるテストポイントパッドやビアを定義する基準は、プレーンやカッパーポアポリゴンに接続されるノードに関して修正されました。
  • "Unrouted Net" の違反しているプリミティブは、GDI グラフィックモードの違反色で描画されません。
  • "Favorite Interactive Via Sizes" ダイアログで、"Only Show Layers In Layer Stack" オプションが無効な場合、全てのレイヤを表示します。
  • MaxMinWidth Rule は、レイヤスタックにあるか無いかに関わらず、全ての信号層に働きます。 
  • Pad/Via フルスタックで、"Pad Layer Editor" ダイアログの "Only show layers in layerstack" オプションが無効の場合、全てのレイヤが表示されます。
  • "Select / Touching Line" コマンドでは、正しく 3D ボディをセレクトします。
  • PCB ライブラリファイルで Find Similar Objects を実行する際、"Whole Library" オプションが有効な場合、現在のコンポーネントのプリミティブだけでなく全てのライブラリコンポーネントのプリミティブがセレクトされます。
  • コンポーネントをセレクト、またはセレクト解除する時、Select Inside と Deselect Inside Area コマンドは正しく動作します。
  • View Configurations ダイアログの Show / Hide タブの Toggle Shown ボタンは正しく動作します。
  • Designator / Comment のロック属性は、PCB Inspector や PCB List でアクセスできません。PCB Inspector で、どんな ReadOnly 属性もグレーアウト表示します。

Schematic

  • OpenBus ドキュメントで arbiter を接続している時のスタックオーバーフロークラッシュを修正しました。
  • SCHLIB コンポーネント名に 31 文字のスペースが含まれていても STG errors を引き起こしません。S09 で編集したファイルは再度、保存する必要があります。
  • 物理的なデジグネータによってコンポーネントにジャンプできる Physical チェックボックスがJump Component コマンドに追加されました。
  • Sheet パネルは、物理的なドキュメントタブで正しくリフレッシュ表示します。
  • Tools >> Cross Probe from PCB to schematic によって、不意にコンパイルしたドキュメントタブへ切り換わりません。
  • 回路図印刷でのシートナンバー割り付けでは、マルチチャンネルプロジェクトの2番目とそれ以降の物理的なシートのために論理的に戻りません。
  • Soft Design ライセンスを使用してHome Page を表示している時、回路図ドキュメントを FPGA プロジェクトに追加できます。
  • プロジェクトの名称を参照する =ProjectName スペシャルストリングが追加されました。
  • Open Documents での Replace Text 機能は修正されました。

FPGA

  • MEM_CTRL コンポーネントは改善されました。2x8 と 1x16 memory layout halfword wishbone transfer は、正しく扱われます。
  • Xilinx Virtex-5 デバイスをターゲットにしている間、Clock Manager コンポーネントは適切に生成されます。
  • 64kB 以上の大きなデータを含む Hex ファイルは、TSK3000 測定器経由で NB2 や NB3000 で Parallel Flash に正しく書き込まれます。
  • WB_SPI, WB_SPI8 や WB_SPI32 コアは改善され、ラッチを含みません。
  • Constraints エディタ内の Pull Up や Pull down constraints は適切に設定され、Lattice ispLEVER や Actel Designer へパスします。
  • Virtex-2Pro や Virtex-5 デバイスのための Xilinx PPC405A プロセッサは、問題を避けるためにJTAG ソフトチェーンの始めに自動で配置されます。 
  • スクリプトファイル内から Nanoboard クロック周波数を設定することが可能です。
  • Altera Nios II version 8.0 は、Devices View で適切に検出されます。
  • NanoBoard 3000AL のサポートが追加されました。
  • Actel Libero 8.6 は、Devices View で適切に検出されます。
  • Synplify 2009 では、start up で内部エラーを生成しません。
  • FCS パッケージ付きのActel デバイスをターゲットにしている時、FPGA フローの Map Design 段階では、"P" で始まるピンのためのエラー "Invalid Package pin at PDC Line" になりません。
  • デフォルト設定で Custom Wishbone Interface 回路図コンポーネントを使用しても、FPGA フローの Synthesis 段階でのエラー "adr_i is not declared" を引き起こしません。
  • Projects パネルの Structure Editor でスクリプトプロジェクトを NanoBoard Interface コンポーネントへ再接続しても、Schematic エディタでそれに相当する NanoBoard Instrument コンポーネントの Configuration ストリングを適切に更新します。 
  • NanoBoard Instrument ダイアログの Configure ダイアログ内の誤った文字は修正されました。
  • Memory Instrument / Probes パネルを開いている時、Devices View の Polling 機能では自動でそれにフォーカスしません。
  • Xilinx Spartan-3AN 以外のデバイスをターゲットにしている時、USB_INTERCON コンポーネントは devices 画面の FPGA フローの Place and Route を引き起こしません。 
  • 最後のコンパイルで変更される場合だけ、Devices View をリフレッシュすることにより FPGA プロジェクトを再コンパイルします。
  • Altera Cyclone-3 デバイスをターゲットにしている時、FPGA フローの optimization technique オプションを "speed" に設定することで、"Illegal assignment: CYCLONEIII_OPTIMIZATION_TECHNIQUE" のエラーを引き起こしません。
  • Polling 機能で Devices View を自動でリフレッシュする時、FPGA プロジェクトは再度、開きません。そして、Close Project コマンドを同時に使用できます。
  • カウンタ コンポーネントのための Configure ダイアログは、実行した時、デフォルトで信号幅を1に設定しません。
  • Xilinx Spartan-3A FPGA 統合ライブラリは、再調査されました。そして、それには SPI_ACCESS プリミティブのためのシンボルを含んでいます。
  • Devices View の Live オプションが無効な場合、Digital LAX エディタの Logic Analyzer >> Run Logic Analyzer または Start Continuous Capture コマンドを選択してもクラッシュを引き起こしません。
  • Xilinx XST シンセサイザーを使用している時、コアプロジェクトを論理合成しても、エラー "Xst:426 Illegal command usage : run" を引き起こしません。
  • LAX トリガオプションを設定している時、応答しない LAX Instrument Panel は改善されました。
  • 終わりの無いスクリプトの反復により、ワーニングを出力しないで Altium Designer を終了しません。
  • Lattice *.pad ファイルから Constraints エディタに制約をインポートする際、バス名から '_' のサフィックスを削除します。
  • instrument パネルのボタンコントロールを使用している際のメモリリークは解決しました。
  • Dashboard が JTAG を開放した後、Devices View で Live を有効にしている時のクラッシュは修正されました。
  • JTAG-SPI フラッシュ書き込みの誤りを修正しました。AMD に基づくハードウェア上の USB 記述の誤った読み込みを修正しました。JTAG 通信のエラー指示機能を強化しました。
  • Actel デバイスをターゲットにして Actel synthesizer のための Synplify を使用している時、FPGA フローの Synthesis では、エラー "Unable to find Synplicity for Actel" を引き起こしません。
  • OpenBus ドキュメントのために、デフォルトドキュメント テンプレートを設定することが可能です。
  • Generic JTAG デバイスのための Configure JTAG ID Mapping ダイアログを再調査しました。余分なコントロールを表示しません。
  • Windows の言語設定でフランス語、またはドイツ語のようなヨーロッパ言語に設定している時、論理合成時に Configurable Clock Manager コンポーネントは、エラー "type real does not match with the integer literal" で失敗しません。
  • Actel デバイスをターゲットにして2回 FPGA フローを実行する時、Make STAPL File の段階はエラー "Input file is not a STAPL file" で失敗しません。
  • シグナルハーネスを使用して同期した時、OpenBus デュアルポートコネクタはエラーになりません。
  • NB3000XN 制約ファイルのディップスイッチの信号は、反転した順番になりません。
  • ファストスルーレート制約が、SDRAM のために NB3000XN 制約ファイル内の Shared Memory Bus 信号に追加されました。
  • 制約ファイルと一致させるため、FPGA NB3000XN Port Plug-In ライブラリの SPDIF コンポーネントの SPDIF_OUT ピンデジグネータの名称が変更されました。  
  • GUI を終了する前に変更を保存できない代わりに、新規の C ファイルが C Code シンボルのために properties ダイアログで設定される場合、C ファイルは自動で作成されます。
  • Lattice デバイスをターゲットにしている時、FPGA Third Party Import Wizard では NCO フォーマットに生成されたコアを適切にインポートします。
  • スクリプトからデバイスをリセットする NanoBoard Interface instrument と共に FPGA をプログラムしても、システムのクラッシュは起こりません。
  • Multiple fixes for WB_PROBE instrument.
  • Actel IGLOO デバイスのサポートが追加されました。
  • HDL ファイルに多くの初期化されなかった宣言が含まれている時、HDL コンパイラや Altium Synthesizer でクラッシュは起こりません。
  • ROM のみがメモリバスに追加される時、ASP コンポーネントの DAT_I ポートは、適切に生成されます。
  • Combinatorial 機能を含む C Code Symbol の Init Memory オプションを有効にしても、エラー "formal clock has no actual or default value" や "formal reset has no actual or default value" は起こりません。
  • Custom Wishbone Interface コンポーネントに、同じインターフェースの複数のインスタンスを作成するための "Number of channels" のオプションを追加しました。
  • Actel IGLOO E デバイスのサポートが追加されました。
  • Actel IGLOO Plus デバイスのサポートが追加されました。
  • Actel IGLOO nano デバイスのサポートが追加されました。
  • NanoBoard 3000 の自動ファームウェアアップデーターを利用できます。新しいファームウェアバージョンを利用できる時、NanoBoard 3000 が検出される場合、Altium Designer はファームウェア更新を実行します。 更に...

System-level

  • コードエディタのクラッシュは修正されました。
  • エラーでスクリプトを停止した後のスクリプトエディタのロックアップを修正しました。
  • code explorer は、Delphi Script のための手順、または機能の名称を表示しません。
  • スクリプト言語のための Call スタックパネルを修正しました。
  • Preferences>>System>>Account Management に、Altium アカウントへの接続を無効にするオプションを追加しました。 更に...
  • プロジェクトオプションの Comparator タブで、Different Comments, Designators, Descriptions, Footprints, Libraries case sensitively, case insensitively を検出するかどうか選択できます。これは、Show Differences, Update PCB, Update Schematic コマンドに作用します。
  • 英語以外の長い文字を編集する際(例えば、回路図での Component Properties ダイアログで)のクラッシュは修正されました。
  • 回路図がリアノテートされた後、コンポーネントの変更は正しくないコンポーネントに適用されません。しかし、もしコンポーネントの UniqueID が変更される場合(例えば、削除、または同じ物理的なデジグネータで置き換えることで)、既存のバリアント情報は自動でそれに適用されません。Assembly Variants ダイアログを再度、実行し OK をクリックして既存のバリアント情報をそれに再リンク、または手動でバリアント情報を再度、適用する必要があります。 
  • P-CAD インポーターは、正しく縦のポートを270 度の方向、反転したチェックボックスでインポートします。 
  • P-CAD インポーターは、正しくパワーポートを配置し正しいネットでそれを関連付けます。
  • P-CAD インポーターは、Comment として part Type をインポートします。
  • ドラッグアンドドロップで、OUTJOB ドキュメントの Output Media を再指令できます。 
  • Home ページの中央にファイルをドラッグしても、そのファイルを開きません。
  • Summer 09 より以前の Altium Designer 製品から preferences をインポートしても、Assembly Variants ツールバーは消えません。
  • Assembly Variant のパラメータは、テンプレート内に Field=parameter 名を含めることで、Bill of Materials に利用できます(同じ名称の Project パラメータを上書きして)。
  • 英語以外の文字を Knowledge Center パネルに入力し Wiki を検索しても、正しく働きます。
  • Altium Account Management サーバーから為替レートをダウンロードする時に関連したIntegratedLibrary.DLL のクラッシュは修正されました。

Embedded

  • software platform multi-threading support 付きの ASP コンポーネントを使用する時、TSK3000 リンカではエラーメッセージは発生しません。
  • multi-threaded アプリケーションのための Call Stack デバッギング ウィンドウ内の情報は改善されました。
  • エンベデッドプロジェクトのためのローカルオプション "Show program builder dialog" は、DXP Preferences へ移動しました。 
  • コールがコンパイラの最適化により影響を受けすぎない限り、デバッガはインライン関数のコールを超えることができます。
  • エンベデッドプロジェクトのローカルオプション "Save before compile" は削除されました。このオプションは、DXP Preferences の Embedded System で利用できます。
  • ローカル変数を評価する時、デバッガの "no storage assigned" のメッセージが発生する件数は減少しました。 
  • MicroBlaze C ライブラリに、SoftwarePlatform で使用する global __clocks_per_sec を追加しました。
  • UART, IRRC, または IR38K のための driver plugin がアプリケーションに複数、含まれている時、linker は複数の定義したシンボルをレポートしません。
  • Software Platform ドキュメントのオプションを変更後、空の回路図シートが開くバグは修正されました。
  • Software Platform は、Windows の decimal symbol 設定(Regional オプション)によって影響を受けません。
  • "Write To Flash ..." メニューからパラレルフラッシュを消去することで、1つの領域だけでなく全ての領域を消去します。

CAM Editor

  • "Import Drill Data" ダイアログで Cancel ボタンを押す場合、NCDrill Import は戻ります。

Signal Integrity and Simulation

  • ImportWaveforms コマンドを使用して SimView にインポートする Parametric は改善されました。複合の波形は正しく追加、表示されます。ChartType パラメータは追加されました。また、X 軸の属性をコントロールするために新しいパラメータが追加されました。

Library Management

  • Farnell や Newark Customer ID のサポートは、Premier Farnell によって作成されるサーバー側の変更を未決定にして削除されました。

 

You are reporting an issue with the following selected text and/or image within the active document: