WB_PWM8 - Generated Output Frequency

Frozen Content

The frequency of the pulse-width-modulated rectangular wave output signal, when enabled, is given by:

You are reporting an issue with the following selected text and/or image within the active document: